Czy technika dark silicon odchodzi do lamusa?

| Gospodarka Produkcja elektroniki

Dark silicon to technika zarządzania zasilaniem w układach scalonych. Przez wiele lat cieszyła się popularnością, umożliwiając optymalizację zużycia mocy i ułatwiając rozwiązywanie problemów termicznych. Obecnie jednak pojawia się coraz więcej nowych możliwości, które sprawiają, że podejście dark silicon stopniowo traci na znaczeniu, a wkrótce może nawet całkiem odejść w zapomnienie.

Czy technika dark silicon odchodzi do lamusa?

Dark silicon, czyli ciemny krzem, to metoda oszczędzania energii w układach scalonych polegająca na wyłączaniu ich segmentów wówczas, kiedy nie są one używane. Do jej opracowania przyczynił się trend do sukcesywnego zwiększania gęstości upakowania tranzystorów w IC zgodnie z prawem Moore'a. Co do tego, że to ostatnie miało ogromny wpływ na przemysł elektroniczny, nikt nie może mieć wątpliwości.

Prognoza o tym, że liczba tranzystorów w układach scalonych będzie się podwajać mniej więcej co dwa lata, przedstawiona w artykule napisanym przez Gordona E. Moore'a już w 1965 roku, sprawdzała się bowiem przez następne pół wieku. Do zależności tej firmy z branży dostosowywały swoje długoterminowe harmonogramy produkcyjne oraz plany działów badań i rozwoju. Dzięki temu także w tempie wykładniczym poprawiały się parametry podzespołów, pozwalając na sukcesywne rozszerzanie funkcjonalności urządzeń elektronicznych i wprowadzanie nowych trendów technologicznych, jak miniaturyzacja czy mobilność. Rosła też konkurencja, a dzięki temu spadały ceny elektroniki. W efekcie branża elektroniczna rozkwitła jak mało która.

Kiedy prawo Dennarda przestało obowiązywać?

Chociaż prawo Moore'a jest najbardziej znanym, nie jest jednak jedynym, które przez lata ukształtowało tę gałąź przemysłu. Drugim istotnym dla jej rozwoju założeniem była teza przedstawiona w 1974 roku w artykule, którego autorem był Robert Dennard, pracownik IBM. Założył on, że wraz ze zmniejszaniem się wymiarów tranzystorów ich gęstość mocy pozostaje stała, dzięki czemu zużycie energii jest proporcjonalne do powierzchni, jaką zajmują. Podczas obowiązywania tego prawa mniejsze tranzystory działały szybciej, zużywały mniej energii i kosztowały mniej. W końcu jednak okazało się, że branża doszła w tym zakresie do przysłowiowej ściany. Stało się to około roku 2004, od kiedy z każdą następną generacją tranzystorów ich gęstość mocy rosła.

Wynikało to stąd, że w coraz mniejszych tranzystorach, z coraz cieńszymi warstwami dielektryka oraz coraz krótszymi kanałami, na znaczeniu niestety zyskiwał prąd upływu. Jego wpływ do tego czasu był pomijany, a przez Dennarda we wspominanym artykule został całkiem zignorowany.

W końcu jednak moc tracona z tego powodu zaczęła się rzędem wielkości zbliżać do mocy dynamicznej układu scalonego. Uniemożliwiało to dalsze zmniejszane napięcia progowego.

Początek ery ciemnego krzemu

Koniec obowiązywania zależności ogłoszonej w 1974 oznaczał także koniec tzw. skalowania Dennarda, równocześnie zapoczątkowując erę tytułowego ciemnego krzemu. W koncepcji tej przyjęto, że jeżeli liczba tranzystorów podwoi się, przy czym budżet mocy dla całego obwodu ma pozostać taki sam, to dostępna moc dla każdego tranzystora musi zostać zmniejszona o połowę. Jeżeli napięcie progowe pozostaje takie samo, liczba tranzystorów, które mogą działać jednocześnie, również powinna zatem zmniejszyć się o połowę.

Niektóre segmenty układów scalonych, jak wyspecjalizowana logika i pamięć cache, szczególnie nadawały się do wdrożenia tej techniki, ponieważ wnosząc wkład w budżet mocy, w praktyce są wykorzystywane tylko w pewnych sytuacjach. Chociaż jednak ta idea wydawać się może prosta, praktycznie wiązała się z komplikacjami, m.in. w zakresie sygnalizacji aktualnego stanu bloków wyłączonych i opóźnień na skutek oczekiwania na ich włączenie. W rezultacie przez lata toczyły się spory o to, ile ciemnego krzemu można wyeliminować dzięki lepszemu projektowi.

Co dalej z techniką dark silicon?

Choć historia techniki ciemnego krzemu liczy już kilka dekad, jej prawdziwy rozkwit nastąpił dopiero wraz z popularyzacją Internetu Rzeczy oraz elektroniki noszonej, w których zakładaną funkcjonalność starano się zaimplementować w jak najmniejszej obudowie oraz przy zasilaniu bateryjnym. Początkowo faktycznie cel ten pozwalało osiągnąć właśnie wyłączanie w danym momencie niepotrzebnych segmentów obwodu. Z czasem jednak ujawniały się ograniczenia tego podejścia. Przykład to problem z prądem rozruchowym. W razie ponownego zasilenia odłączonych segmentów, szczególnie jeżeli ważny był szybki restart, mógł on stanowić nadmierne obciążenie, skutkując nawet uszkodzeniem wrażliwych podzespołów. Postęp w zakresie układów o niskim poborze mocy pozwolił jednak na ominięcie tych ograniczeń i w efekcie technika dark silicon przez wiele lat się sprawdzała.

Funkcjonalność urządzeń elektronicznych jest jednak wciąż rozszerzana, a jednocześnie celem jest wydłużenie czasu między kolejnymi ładowaniami akumulatorów. W rezultacie nawet mimo że ich gęstość energii rośnie średnio o 5% do 6% rocznie, czasowe wyłączanie kolejnych bloków funkcyjnych przestaje wystarczać. Dlatego poszukuje się lepszych rozwiązań.

Alternatywy dla dark silicon

Takim są: nowe techniki pakowania układów scalonych, jak FinFET, zapewniająca m.in. lepszą kontrolę nad prądem upływu, technika near-threshold computing, która polega na zmniejszeniu napięcia do wartości zbliżonej do napięcia progowego tranzystora oraz technika dynamicznego skalowania częstotliwości napięcia. Skutkuje też separacja bloków funkcyjnych ograniczająca straty mocy na efekty termiczne (to jest ważne zwłaszcza w przypadku pamięci, które pracują wydajniej w niższych temperaturach). Ważną rolę do odegrania mają również chiplety, czyli moduły w postaci struktury scalonej o określonej funkcjonalności. Można je ze sobą łączyć w obrębie wspólnego podłoża w jeden układ scalony. Zapewnia to dużą elastyczność – na przykład nieużywane bloki funkcyjne można całkiem wyeliminować, zamiast je wyłączać, a ich wybraną, często potrzebną funkcjonalność zaimplementować w innym chiplecie.

Podsumowanie

Podsumowując, ciemny krzem staje się powoli tylko jeszcze jedną opcją redukcji mocy i, jak się okazuje w coraz większej liczbie aplikacji, wcale niekoniecznie tą najlepszą. W efekcie powoli traci na atrakcyjności i chociaż może zapewniać rezerwę mocy obliczeniowej dla określonych funkcji, nie jest to najskuteczniejszy sposób projektowania złożonego systemu. Przykładem są jednostki obliczeniowe specjalizowane pod kątem sztucznej inteligencji. W miarę więc jak przybywa zastosowań AI w codziennym życiu, rosnąć będzie zapotrzebowanie na efektywniejsze i zarazem tańsze niż technika dark silicon sposoby oszczędzania energii.

Monika Jaworowska

Zobacz również