Producenci półprzewodników meldują gotowość na EUV

| Gospodarka Produkcja elektroniki

Litografia w ekstremalnie dalekim ultrafiolecie (EUV) uznawana jest za przyszłość branży półprzewodników w wymiarze charakterystycznym poniżej 10 nm. W powszechnej opinii od sukcesu wdrożenia tej technologii w skali przemysłowej zależy, czy branży półprzewodników uda się dotrzymać rozwoju zgodnie z regułą Moore'a. Mimo dużego stopnia skomplikowania oraz ogromnych kosztów związanych z zakupem sprzętu, czołowe firmy zapowiedziały już uruchomienie linii produkcyjnych.

Producenci półprzewodników meldują gotowość na EUV

Jest niemal pewne, że w ciągu najbliższych lat EUV będzie szeroko stosowane do produkcji układów scalonych w wymiarze technologicznym 7 nm. Wzrost kosztów i skomplikowania technologii półprzewodników sprawiają, że tradycyjne metody produkcji, jak litografia immersyjna, stają się na tym poziomie integracji nieopłacalne. Zanim jednak EUV stanie się standardem, branżę czeka okres przejściowy.

W pierwszym etapie udział EUV będzie znikomy, a większość produkcji w wymiarze 10 nm i poniżej będzie realizowana z wykorzystaniem tradycyjnych procesów. Stopniowo maszyny EUV będą włączane do linii produkcyjnej, gdzie zastąpią niewydajne procesy litografii immersyjnej, przede wszystkim eliminując konieczność wielokrotnego naświetlania płytki w celu uzyskania większej gęstości.

Mniej operacji potrzebnych do wyprodukowania układu oznacza, że w dłuższej perspektywie EUV może okazać się łatwiejszym procesem niż dotychczas stosowane metody. Szczególnie że wraz z nabieraniem przez producentów doświadczenia i poprawą stabilności sprzętu należy oczekiwać większej wydajności procesu. Przykładowo, Samsung po okresie badań nad EUV stwierdził, że EUV pozwala na znacznie lepsze oddanie wzoru i mniejsze odchyłki niż dotychczas stosowana laserowa litografia ArF o długości fali 193 nm z wielokrotnym naświetlaniem.

Samsung na czele stawki

Impulsem do wzrostu zainteresowania EUV jest dostępność sprzętu, którego parametry pracy odpowiadają potrzebom rzeczywistej linii produkcyjnej. Dotychczas były one używane przede wszystkim w laboratoriach badawczych i z uwagi na niepewne osiągi w zakresie wydajności i liczbę błędów producenci nie decydowali się na włączenie ich do bazy produkcyjnej. Po długim i kosztownym procesie badań i rozwoju, na rynek trafiły narzędzia gotowe do zastosowań w fabrykach. Ponieważ są to nowe rozwiązania, które nadal wymagają czasu i pracy do osiągnięcia pełnego potencjału, początkowo technologia EUV będzie używana głównie do produkcji najbardziej wymagających chipów.

Pierwszą firmą, która ogłosiła w końcu 2018 r. gotowość produkcji seryjnej z użyciem procesu EUV, był Samsung. Dotychczasowe testy procesu EUV w wymiarze 7 nm dały pozytywne rezultaty. Firma przeprowadziła testowe serie produkcyjne pamięci 256 Mb SRAM. Podobnie Intel, TSMC oraz Globalfoundries zapowiedzieli rozpoczęcie produkcji EUV w procesie 7 nm w ciągu najbliższych miesięcy.

EUV tylko od ASML

Koszt badań i rozwoju technologii EUV był ogromy. W ramach programu partnerskiego Intel, TSMC i Samsung w ostatnich latach zainwestowały ogromne sumy w ASML, producenta sprzętu do produkcji półprzewodników. Firma prowadzi zaawansowane prace nad rozwojem narzędzi do EUV, które dotychczas pochłonęły ponad 7 mld dolarów. Jako cel tych działań przyjęto uzyskanie maszyn, które będą w stanie gwarantować wydajność 125 krążków krzemowych na godzinę przy wskaźniku dostępności źródła światła 90%. Biorąc pod uwagę dotychczasowe zainteresowanie, sprzedaż narzędzi do EUV może być głównym motorem napędzającym obroty ASML w najbliższych latach. Choćby z uwagi na fakt, że firma ma w tym sektorze praktycznie pozycję monopolisty. Koszty badań i rozwoju okazały się zaporowe dla wszystkich pozostałych dostawców.

EUV wykorzystuje laser emitujący impulsy o długości fali 13,5 nm. Jednym z głównych wyzwań było uzyskanie mocy światła odpowiedniej do zastosowań w produkcji seryjnej. Według ekspertów, dolną granicą gwarantującą satysfakcjonującą wydajność procesu jest 250 W. Brak odpowiedniego źródła światła był krytycznym czynnikiem blokującym prace nad EUV. Przełomem okazało się przejęcie firmy Cymer, której technologia oparta na zastosowaniu tzw. preimpulsu pozwoliła uzyskać wymaganą moc promieniowania.

O tym, że branża jest gotowa do wdrożenia EUV, świadczy fakt, że liczba zamówień na NXE, najbardziej zaawansowane narzędzie w ofercie ASML, sięga kilkudziesięciu sztuk. Koszt jednej to ok. 100 mln dolarów, jednak zdaniem przedstawicieli ASML, klienci szybko odczują zwrot z inwestycji dzięki eliminacji konieczności wielokrotnego naświetlania płytek krzemowych. Firma ma w planie dostarczyć 20 maszyn w bieżącym roku i ma zapełniony kalendarz produkcyjny na najbliższe lata. W ciągu 3 do 4 lat ma być w stanie dostarcza ok. 40 urządzeń rocznie.

E-beam jako alternatywa

Dominująca pozycja ASML na rynku EUV sprawia, że firma nie jest zagrożona konkurencją, a jedynym ryzykiem jest pojawienie się alternatywnej technologii do produkcji układów w wymiarze poniżej 10 nm. Jednym z kandydatów jest litografia elektronowa (e-beam lithography), której zaletą jest wysoka rozdzielczość pozwalająca na realizacje struktur w wymiarze pojedynczych nanometrów.

Technologia ta tworzy wzory na waflu krzemu ścieżka po ścieżce za pomocą wiązki elektronów, co pozwala na dużo większą dokładność niż metody fotolitografii. W odróżnieniu od nich, wiązka elektronów działa na półprzewodnik punktowo, co przekłada się na dłuższy proces produkcyjny. W przypadku fotolitografii cała topologia jest naświetlana przez maskę, co zachodzi szybciej. Obecnie technologia litografii elektronowej stosowana jest przede wszystkim do produkcji masek do fotolitografii i w pracach badawczo-rozwojowych i laboratoriach, jednak trwają prace nad dostosowaniem jej do produkcji seryjnej. Zaletą litografii elektronowej jest wyeliminowanie zestawu masek.

Techniki niezbędne do osiągnięcia przez EUV rozdzielczości rzędu nanometrów, takie jak korekcja przybliżenia optycznego i przesunięcia fazowego, sprawiają, że łączny koszt masek w procesie EUV jest kilka razy większy niż w litografii immersyjnej. W przypadku elektronolitografii z masek nie korzysta się wcale, a wiązką sterować można za pomocą pola magnetycznego lub elektrycznego.

Prace nad przystosowaniem litografii elektronowej do wymagań produkcji seryjnej są nadal w fazie badań i rozwoju. Głównym ograniczeniem jest fakt, że obecnie prędkość pracy tych maszyn jest znacznie niższa niż w przypadku fotolitografii. Największym wyzwaniem jest więc zwiększenie wydajności produkcji bez obniżania rozdzielczości. Przykładowo, firma Multibeam zajmująca się rozwojem technologii litografii elektronowej spodziewa się przedstawić komercyjny system w 2020 r., jednak wersja przystosowana do produkcji wielkoseryjnej pojawi się dużo później. Technologia firmy polega na użyciu wielu wiązek elektronów jednocześnie. Każda z nich sterowana jest niezależnie w celu szybszego naniesienia wzoru na fotorezyst.

Inną firmą rozwijającą systemy litografii elektronowej jest duński Mapper, którego technologia opiera się na wykorzystaniu wielu wiązek elektronowych do uzyskania wydajności 150 krążków krzemowych na godzinę. Jest to podobna wydajność jak maszyny EUV od ASML. Dodatkowo, może pracować z podłożami w wymiarze 450 nm. Narzędzie korzysta z pojedynczego źródła o mocy 5 kV emitującego wiązkę elektronów o średnicy ok. 3 cm, która następnie za pomocy przesłon, optyki i reflektorów MEMS rozbijana jest na wiele niezależnie sterowanych wiązek. Testy tego narzędzia przeprowadziło dotychczas m.in. TSMC, chwaląc je za parametry pracy i potencjalnie niskie koszty w przeliczeniu na wafel.

Jacek Dębowski